Samsung TXJ1971 Bedienungsanleitung

Stöbern Sie online oder laden Sie Bedienungsanleitung nach Fernseher & Monitore Samsung TXJ1971 herunter. Samsung TV TXJ1971 Benutzerhandbuch

  • Herunterladen
  • Zu meinen Handbüchern hinzufügen
  • Drucken
  • Seite
    / 291
  • Inhaltsverzeichnis
  • LESEZEICHEN
  • Bewertet. / 5. Basierend auf Kundenbewertungen

Inhaltsverzeichnis

Seite 1

A Subsidiary of A.C. Nielsen Company ^ INCORPORATED SEMICONDUCTOR SUPPLY STUDY for DIGITAL EQUIPMENT CORPORATION August 1979 by Howard Z. Eogert 19055

Seite 2 - OF CONTENTS

- 2 -High pressure oxidation. Mask and maskmaking equipment. Consider important equipment growth markets. Major Merchant Vendors. Additional questions

Seite 3

m /2 INTERVIEW GUIDE Semiconductor ManuSacttiring Suppliers DATE 7/3/79 ADE - Boston, MA area Company/Location Contact/Position V.P. - Small inst. mal

Seite 4

/2 General Business Current Delivery 2-3 months. Action on backlog problenn if applicable; Will hold steady Semi Related Products/Approx. Annual Sales

Seite 5

m /2 INTERVIEW GjtllDE Semiconductor Manu&cttiring Suppliers DATE 7/2/79 Company/Location GCA ~ Burlington, VT Contact/Position Dir. Mlctg. - Opti

Seite 6

/2 General Business Current Delivery 12-18 nnonths. Action on backlog problena if applicable; Kill tirobably x&C&%m Semi Related Products/Appr

Seite 7 - Epi reactors

'1/2 INTERVIEW GUIDE Semiconductor Manufw^tuirlng Suppliers DATE 7/2/79 Company/Location Varian - Palo Alto, CA Contact/Position Industrial Equip

Seite 8 - Work Statement, continued

• m /2 General Business (least experisive) (most expensive) Current Delivery 3-15 months. Action on backlog problem if applicable; probably won't

Seite 9

m /2 INTERVIEW iSUlDa Semiconductor Manufactiiring: Suppliers DATE 7/2/79 Company/Location Tencor Instruments ~ Mt. View, CA Contact/Position Presiden

Seite 10

'2/2 General Business Current Delivery 3 months. Action on backlog probienn if applicable; Build to forecast not order. Will hold at that lead ti

Seite 11

'1/2 INTERVIEW Glildfe Semiconductor ManiiEitltttrui^ Suppliers DATE 7/2/79 Company/Location Bruce Ind. - Boston, MA Contact/Position Mktg. Mgr.

Seite 12

2/2 Genial mj#nHe«t Current Delivery 10 months. Action on backlog problem if applicable; Have 140 sq. ft. facility for BTU/ Bruce ops, but production

Seite 13

- 3 -PEOPLE AT DEC TO WHOM FINAL PRESENTATION WILL BE MADE Jim Coggmore Dan Hamil Bill Greene Russ Doane Gene Jones Jack Batten Group Manager LSI Manu

Seite 14

•. /2 Company/Location Contact/Position INTERVIEW CinDE Semiconductor Manu^tc^twiI^ Suppliers DATE 7/2/79 Varian/Extrion - Boston area General Manager

Seite 15

2/2 General Business Current Delivery 8 months. Action on backlog problem if applicable; Investing $4M in new facilities / this year on top of $4M las

Seite 16

# /2 INTERVIEW CAMU Semiconductor ManufadQurliiig Suppliers DATE 6/29/79 Company/Location ETEC - Hayward, CA Contact/Position Ind. Product Mktg. - Tec

Seite 17

• # /2 General Business Current Delivery 12-14 months. Action on backlog problem if applicable; Will not change, system to exotic/ custom/costly scale

Seite 18

•l /2 INTERVIEW GUttSe Semiconductor Manuf#Cturli^ Suppliers DATE 6/29/79 Company/Location Signatone Inc. Contact/Position Small inst. mfg.; does sale

Seite 19

n/2 General Business Current Delivery ^ months. Action on backlog problem if applicable; Will maintain this sort of delivery Senni Related Products/Ap

Seite 20

/2 INTERVIEW OUibE Semiconductor ManufaCtuirin^ Suppliers DATE 6/21/79 Company/Location Tylan Corp. - Torrance, CA Contact/Position V.P./Gen. Mgr. - O

Seite 21

m m w' General Business Current Delivery 5-6 months. Action on backlog problem if applicable; Up mass flow output to accomodate systems. 16-18 we

Seite 22

# /2 I INTERVIEW GUIDE Semiconductor Manufacturing Suppliers DATE 6/21/79 Company/Location Cutler-Haininer (Semi Equipment Div.) " Sunnyvale, CA

Seite 23

• • » /2 Genercd Business Current Delivery 6 _months. Action on backlog problem if applicable; Adding capacity but will hold 5 months baclclog through

Seite 25

# /2 INTERVIEW fiyiDE Semiconductor Manu|a>C^pring Suppliers DATE 6/26/79 Company/Location Tegal ^ Nova to, CA fO I Contact/Position President &quo

Seite 26

/2 Cteneral OiisinesBS Current Delivery 2-3 months. Action on backlog problem il applicable: Building to forecast rather than orders (mostly std. equi

Seite 27 - 'S

I M # /2 INTERVIEW ^UIDE Semiconductor Manu^tiCiuiring Suppliers DATE 6/26/79 Company/Location GCA - Sunnyvale Division Contact/Position General Manag

Seite 28

2/2 General Business Current Delivery 9 months. Action on backlog problem if applicable; W^tlfelng fQy dgym cycle. Semi Related Products/Approx. Annua

Seite 29

/2 INTERVIEW GUIDE Semiconductor MfmuCaiCturing Suppliers DATE 6/27/79 Company/Location Ultek (P-E subsidiary) Contact/Position Product Mgr. - Plasma

Seite 30

2/2 General Business Current Delivery g tltjonths. Action on backlog problem if applicable; Will maintain about constant in future. SeiTii Related Pro

Seite 31

# /2 INTERVIEW <HIIDE Semiconductor ManufactuT&ig Suppliers DATE 6/2 7/79 Company/Location Ri>.n4-T-nm^^k ^ v^^ Miiy=^ ra, Contact/Position

Seite 32

/2 General Business Current Delivery 6 to 8 months. Action on backlog problem if applicable; Increasing production by 2X|, scheduled completion facili

Seite 33 - Processes:

'1/2 INTERVIEW G0IDE Semiconductor Manidfac^tliring Suppliers DATE 6/16/79 Company/Location Ten^res.q - T.G^ Gatos. Sunnyvale. Watertown and Coro

Seite 34

P2/2 Genercd Business Current/C^eMAP"'^^^ months. Action on backlog problem if applicable; lifot^ng 6 Months for furnaces, Semi Related Prod

Seite 35

1. WAFER FABRICATION SUPPLIER SUMMARY Wafer fabrication suppliers will accept either masks or computer tapes generated by their customers. They supply

Seite 36

* /2 INTERVIEW G^mn Semiconductor Manufacturing Suppliers DATE 6/27/79 Company/Location FlnrnwP,T(=^. wl sconsin Contact/Position Wafer CnntainPrs K.

Seite 37

12/2 General Business Current Delivery g months. Action on backlog problem if applicable; Will increase capacity on line in 4 months. Will get to 4-6

Seite 38

NJ /2 INTERVIEW <iUIDE Semiconductor Manufacturing Suppliers DATE ^/\1/1^ Company/Location Nova Assoc. - Boston. MA Contact/Position Joint VRnture

Seite 39

00 • 1/2 2-18 INTERVIEW fiUIpE Semiconductor Manufaeturing Suppliers DATE 6/27/79 Company/Location ASM America, Phoenix. AZ Contact/Position Originall

Seite 40

/2 General QuB^iesaf Current Delivery 3-4 months. Action on backlog problem if Stj}pQcatb'£6)_ will maintain lead times at about same levels. TTi

Seite 41

2/2 General Business Current Delivery 6 _months. Action on backlog problem if applicable; Will hold Semi Related Products/Approx. Annual Sales 1978: 1

Seite 42

^1/2 INTERVIEW QWIPE Semiconductor ManufeU::turing Suppliers DATE 6/2 7/79 Company/Location MRC (Corp. Headquarters) Contact/Position Materials and Eq

Seite 43

/2 General Business Current Delivery 6-8 months. Action on backlog problem if applicable; Order entry slope dependent May get to 4 months general prod

Seite 44

# /2 / INTERVIEW ^UIDE Semiconductor Manufj^itidilg Suppliers DATE 6/29/79 Company/Location Contact/Position Applied Matpri^ls. .q;^nt;i C^ Bira . CA

Seite 45

2/2 General Business Current Delivery 4 months. Action on backlog problem if applicable; MHI hnifi ai- j-^iq i^Tro-] , Semi Related Products/Approx. A

Seite 46

(1-1) WAFER FABRICATION SUPPLIERS Overview Vendor Interface Incremental and Fixed Pricing Merchant and Wafer Pricing Source: DATAQUEST, Inc. July 1979

Seite 47

NJ 1/2 INTERVIEW OUIDE Semiconductor Manufacturing Suppliers DATE 6/29/79 Company/Location .gi n-^n - Mt-. v-i^w, r-a Contact/Position Prpf^i df^nt- -

Seite 48

^2/2 General Business Current Delivery fi months. Action on backlog problem if applicable: Fivp^iTiriing i-r> "^ mn. -h^^i-gpi-h Semi Relaited

Seite 49

'1/2 INTERVIEW GlJjdE Semiconductor Manu£ia£tarii>g Suppliers DATE 6/29/79 Company/Location Contact/Position _yitratech (subsidiary of Xynetic

Seite 50

2/2 General Business Current Delivery 4 months. Action on backlog problem if applicable; jif fi^f!y Seini Related Products/Approx. Annual Sales: 1978:

Seite 51

1/2 jNTERwmwQwm Semiconduetor Manufacttrif^ Suppliers July 3, 1979 DATE Company/Location Stiss - Takuda - Ryohosha U.S., Representative, Pasadena, Cal

Seite 52

|2/2 General Business Align - 3-6 montlis Current Delivery^g^^" I ^"m^o^ths. Action on backlog problem if applicable; Target to remain at 3

Seite 53

1/2 DATE Company/Location Machine Technology Inc. - New Yorlc INTERVIEW GUW Semiconductor Manuf^lturiIlig Suppliers July 5, 1979 Contact/Position Pres

Seite 54

2/2 Genercd Business Current Delivery_ months. Action on backlog problem if applicable: C ,„„o' Maintain even with growth by increasing capacity

Seite 55

1/2 m^ERwimQ'amE Semiconductor Manu£actU^lihi|i Suppliers DATE July 5, 1979 Company/Location Pacific Western - Mountain View President - Innovati

Seite 56

m /2 General Business Current Delivery 3 months. Action on backlog problem if applicable; ^^^^ ^°^^ steady 3 months Semi Related Products/Approx. Annu

Seite 57

(1-2) WAFER FABRICATION OVERVIEW Vendors prefer to work with designs to their rules. Process "twealcing" only possible for very high volumes

Seite 58

|l/2 INTERVIEW GUIDE Semiconductor Manufacturing Suppliers DATE July 3, 1979 Company/Location Airco - Timeseal Contact/Position V. P. Marketing - Old

Seite 59

to • » /2 General Business Current Delivery ^ months. Action on backlog problem if applicable; Have 5X area required now Need extra area for Special S

Seite 60

m /2 INTERVIEW GUIDE Semiconductor ManufacjEuring Suppliers July 6, 1979 DATE Company/Location Epitaxy inc. - Santa Clara, California Contact/Position

Seite 61

'2/2 General Business Current Delivery N.A. months. Action on backlog problem if applicable:. 4-6 months general Semi Related Products/Approx. An

Seite 62

1/2 INTERVIEW GUIDE Semiconductor Manufacturing Suppliers DATE July 10, 1979 Company/Location Accelerators Inc., Austin, Texas Contact/Position The in

Seite 63

# /2 General Business Current Delivery ^"^^ months. Action on backlog problem if applicable; 6 Semi Related Products/Approx. Annual Sales: 1978:

Seite 64

1/2 iHTERwmwmmt Semicondttcf or ManufilCtiving Suppliers DATE (None) Company/Location Thermco-Sunbeam, Orange, CA Contact/Position Gen. Sales Mgr. - S

Seite 65

2/2 General Business Current Delivery . 'P months. Action on backlog problem if applicable; 4-5 target best ^__ Semi Related Products/Approx. Ann

Seite 66

11/2 INTER VIEW^^PDE Semiconductbr Manufacliiriii^ Suppliers DATE 7/11/79 Company/Location Perkin-Elmer, Stanford, MA Contact/Position Optical group -

Seite 67

General Business Current Delivery 12-14 months. Action on backlog problem if applicable; 12 2/2 Semi Related Products/Approx. Annual Sales: 1978: $65M

Seite 68

(1-3) TECHNOLOGY EVOLUTION Advanced - Proprietary product and process Intermediate - Custom product Low - Wafer fabrication service Source: DATAQDEST,

Seite 69

1/2 JNtBRVIEW GOa>lS Semiconduictor Manufacturing Suppliers DATE 7/11/79 Company/Location Cobilt Div. ^ Computervision r- Santa Clara, CA Contact/P

Seite 70 - SUPPLIERS

• • ^ General Business Current Delivery ^ months. Action on backlog problem if applicable; 4 steady state 2/2 Semi Related Products/Approx. Annual Sal

Seite 72

3. MATERIALS SUMMARY The primary materials considered in this section are silicon and polysilicon. Other materials are considered briefly, but no shor

Seite 73

(3-1) MATERIALS Silicon Wafers Polysilicon Other Source: DATAQUEST, Inc. July 1979

Seite 74

(3-2) SILICON WAFERS Lead times increased in late 1978 from 6 to 20 weeks. Currently, lead times run 14 weeks. Suppliers who made appropriate vendor c

Seite 75 - IBM (1976)

(3-3) SILICON USAGE Silicon usage in 1979 up 40 percent vs. 1978 (first 5-month average) Device sales up only 26 percent Reasons: 26% Increased produc

Seite 76

(3-4) SILICON CAPACITY (Merchant Silicon Marl«et) Monsanto Siltec Wacker Others Estimated 1979 U.S. Production (Million Sq. Inch) 120 50 . 50 70 290 P

Seite 77

U.S. SILICON USE (3-5) Estimated 1979 Use (Million Sq. Inch) % of U.S. Usage Merchant Silicon 290 60% Slice production by Merchant Suppliers (Fairchil

Seite 78

(3-6) POLY Current Price - $69 to $74 per Itilo ROI - 25 percent at $70 per l<ilo - if plant capital cost is $55 per kilo Present plant cost $110 p

Seite 79

(1-4) STEPS TO WAFER PRODUCTION (IDEAL) 1. Obtain design rules and process parameters. 2. Create "Worst Case" rules for selected vendors. 3.

Seite 80

CURRENT PLANT CAPACITY (3-7) Worldwide Plant reactivation Easily Available Capacity 2,500-2,600 500-600 3,000-3,200 Metric Tons Metric Tons Metric Ton

Seite 81

EFFECT OF LARGER WAFERS (3-8) Wafer Size 3" 4" Weighted (g/in^; Average ) Poly Usage (g/in^) 3.35 4.20 1978 80% 20% 3.52 % Wafer 1979 70% 30

Seite 82

Minimum Usage Maximum Usage (3-9) (Mill 1979 1,824 2,346 POLY USAGE ion Metric 1980 2,111 2,580 Tons) 1981 2,432 3,121 1982 2,856 3,777 1983 3,365 4,5

Seite 83

(3-10) OTHER SHORTAGES Selective lead frame shortages -Possibly due to stamping die capacity. Possible shortage of gold-tin lids for ceramic packages.

Seite 85 - .^•r^ll^

4. MAJOR MERCHANT SUPPLIER SUMMARY Major merchant suppliers are those in the top rank of the semiconductor industry. As a general rule, they have sale

Seite 86 - K."5

• The number of captive suppliers is increasing dramatically as electronic equipnnent manufacturers find it necessary to "make what they can&apos

Seite 87

(4-1) MAJOR MERCHANT SUPPLIERS Worldwide Supplier Overview High-Technology Product Supplier Shrinlcage Merger-Mania Design Availability Capital Requir

Seite 88 - Cl/choY^''^^

Table 1 ESTIMATED U.S. CONSUMPTION OF SEMICONDUCTORS (Dollars in Millions) Discrete Devices Integrated Circuits 1,784 Total 1977 $ 926 1,784 $2,710 19

Seite 89 - L'f

Table 2 ESTIMATED QUARTERLY U.S. SEMICONDUCTOR CONSUMPTION (Dollars in Millions) 1978 Discrete Devices Integrated Circuits Total Percent Cliange From

Seite 90

(1-5) CONTRACT INTERFACE Prototype: Customer buys the wafer if test device and optical inspection good. Production: Options 1. As in prototype phase 2

Seite 91

SOURCES OF REGIONAL SEMICONDUCTOR CONSUMPTION (PERCENT OF 1978 CONSUMPTION) SUPPLIER: U.S. JAPAN EUROPE ROW UNITED STATES 94% 4 2 0 CONSUMER JAPAN 13%

Seite 92 - $31l>l

JAPAN SEMICONDUCTOR CONSUMPTION (PERCENT PRODUCED BY NATIVE FIRMS) 1974 1976 1978 TOTAL SEMICONDUCTOR TOTAL IC BIPOLAR DIGITAL MOS LINEAR TOTAL DISCRE

Seite 93 - Gt'

LIMITS TO GROWTH Financial People Facility Construction and Initiation Trained/Untrained Ratio of Employees Source: DATAQUEST, Inc. July 1979

Seite 94

PEOPLE PROBLEMS Silicon Valley is full High-quality workforce areas are limited Training time is 3-6 months New employees kill yields Turnover is high

Seite 95

ENGINEERING GRADUATES Engineering Percent of Year Graduates Total Graduates 1950 58,000 11.6% 1960 46,000 9.5% 1970 64,000 5.9% 1975 65,000 5.0% Sourc

Seite 96

SUPPLY SUMMARY The merchant market is decreasing in numbers Independent merchant producers are declining Allocated or directed production is increasin

Seite 97 - Cutler Hammer/Optimetrix

FAB FACILITY COST 1969 $4.0M 1974 $6.0M 1979 $15.CM 19 84 $30.0]yi + Source: DATAQUEST, Inc. July 19 79

Seite 98

IN-HOUSE SEMICONDUCTOR USE (PERCENTAGE OF COMPANY'S SEMICONDUCTOR VALUE) (FISCAL YEARS) 1976 1977 1978 1979 TI Mo to Intel NSC FCI 4.7% 5.6 11.0

Seite 99

SEMICONDUCTOR VS NON-SEMICONDUCTOR REVENUES Company Texas Instrximents Motorola National Semiconductor Fairchild Intel Mostek AMD Semiconductor 36% 31

Seite 100

IN-HOUSE SEMICONDUCTOR USE (DOLLARS IN MILLIONS) (FISCAL YEARS) 1976 1977 1978 1979 TI Mo to Intel NSC FCI $31 26 16 19 30 $37 40 23 28 15 Source: $55

Seite 101

(1-6) INCREMENTAL WAFERS COST LESS THAN "FIXED CAPACITY" WAFERS Incremental costing is used for excess capacity. Firms reluctant to add staf

Seite 102

FOREIGN ACQUISITION OF U.S. SEMICONDUCTOR INDUSTRY Company Fairchild AMD Litronix Dickson Electronic Arrays AMI AMI MOS Technology Frontier Signetics

Seite 103

COMPANIES USING MORE THAN $100 MILLION OF SEMICONDUCTORS PER YEAR 1969 1974 1979 19 81 19 84 IBM IBM WE IBM DEC H-P WE NTT IBM DEC H-P WE NTT Honeywe

Seite 104 - '1/2

U.S. MAJOR MOS MEMORY MANUFACTURERS 4K (1977) AMD EMM Fairchild Intel Intersil Mostek Motorola Synertek TI Zilog Signetics National ITT 16K (1979) TI

Seite 105

ADVANCED MICROPROCESSOR MANUFACTURERS 1977 (8 bit) Intel Motorola Fairchild Mostek TI Zilog Rockwell AMD AMI GI Hughes MOS Technology Signetics Synert

Seite 106 - Contact/Position President

ESTIMATED REGIONAL SELF-SUPPLY OF SEMICONDUCTOR COMPONENTS (197S-1978) 1975 1976 1977 1978 UNITED STATES JAPAN EUROPE REST OF WORLD 154% 85% 55% 4% 14

Seite 107 - .'

ESTIMATED WORLDWIDE SEMICONDUCTOR CONSUMPTION (Dollars in Millions) >tal IC Bipolar MOS Linear Discrete Optoelectronics 1973 $4,798 2,046 921 585 5

Seite 108

BIPOLAR MGITAL SUPPLIERS Product TTL TTL PROM RAM ECL 2901 Gate Array PROM RAM ECL Microprocessors Gate Array Year 1968 1973 1979 1979 1979 1979 1979

Seite 109

ESTIMATED WORLDWIDE SEMICONDUCTOR CONSUMPTION (Dollars in Millions) Total IC Bipolar MOS Linear Discrete Optoelectronics U.S. Companies Market Share 1

Seite 110

t/oS MA^ET-T, ^ lui G^y^^>Cw>^Jai) -f -i" -. 'At-' -'x> 1 ' \%i3_ i_m • \_10 • -X :^'^^^/i'^7S ' &apo

Seite 111 - Tfe^ology - HD

« a eiACo -^(^uref A--)^ cuAcs^eoA^ CDS ^ ESTIMATED WORLDWIDE SEMICONDUCTOR CONSUMPTION (Dollars in Millions) Total IC Bipolar MOS Linear Discrete Opt

Seite 112

TABLE OF CONTENTS Section Title Page Table of Contents INTRODUCTION S;aimnary Conclusions WAFER FABRICATION SUPPLIER SUMMARY Summary Appendix 1 CAPITA

Seite 113 - Others " ^o

(1-7) INCREMENTAL WAFER SUPPLY IS UNDEFENDABLE: THE LOWEST PRICED WAFERS ARE ALWAYS SHIPPED LAST. Source: DATAQUEST, Inc. July 1979

Seite 114

4iwCll f^i\uu\i2^ AVN€, 0/-^^rvTsJ^S"^ ESTIMATED WORLDWIDE SEMICONDUCTOR CONSUMPTION (Dollars in Millions) 1973 1978 1983 Total IC Bipolar MOS L

Seite 115

^ •- : ,I?i|L^ . lirpo\o^ _M.(^\^Y?:«^^_..__C_-i.(K&A-N.£S-£__ C.Os} _ I ' .. "• ' ^' • •• ,. '" '-' : •&a

Seite 116

^•'f _ ^ . " f\a>$^ M Aj<'k:el:__ ^ _C.i*ivpArM^.5,2 ^C»SJ ^x LL'^ i' '^ -^ ni3 -^ .;- • VII s 112^ R^T»b I?ATVIMC

Seite 117 - w'

•..f.f *•.•' lW.^P^c^ H^ilvpfSr-r ('i'«K?A^£SiL COiJ! : V\\TACM\ __ Mf^.tSuSUi-rA Oet SAwyo r^HiB^ ^_ -^0^ t^i% '^^3 . ^t^ B^TtfVi

Seite 118

OiSC-reie_^ MAfek.e^^ Cs,^i>>\f^z^£ Cos) \, Jii i& •;: .'•;.: \ . :: . ...1 -..:;...*<• iP^sivTSw- _ • ^^ ^^ tl , ^_i3^ J.*! Ljf^ V

Seite 119

0 ^^ _ _ ^ p vo t> Vo EJ^^tA-T^V^fL V^lifW^r-: \ ^iP^^^iS^ CQ^J- ^ -_ r , r.^ :— ^ , . •, ._ -i .-. •• , % v 1^13 i3ll — ilfa -1 g>^T<o ^^4-r

Seite 120 - DATE 6/26/79

AMI Estimated Semiconductor Revenues (Millions of Dollars) 1973 1978 1983 MOS $56 $71 $143 Comments; o Commitment to custom & wafer fab o In custo

Seite 121 - Major Problem Areas

SYNERTEK Estimated Semiconductor Revenues (Millions of Dollars) 1973 1978 1983 , MOS 0 $33 $151 Comments; o Some custom and wafer fabrication work o P

Seite 122

ESTIMATED WORLDWIDE SEMICONDUCTOR CONSDMPTION (Millions of Dollars) Compound 1973 1978 1983 Growth Total $4,798 $8,677 $17,553 15.1% Japanese Companie

Seite 123

FUJITSU ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1978 1983 Bipolar Mos Linear Discrete Total $124 $335 Comments; o Technologically Stron

Seite 124

(1-8) FINANCIAL ANALYSIS OF INCREMENTAL AND FIXED CAPAICTY WAFERS. Source: DATAQUEST, Inc. July 1979

Seite 125 - Suppliers

HITACHI Estimated Semiconductor Revenues (Millions of Dollars) 1973 1978 1983 Digital Bipolar MOS Linear Discrete Optoelectronic Total $ 15 35 18 126

Seite 126 - v^^ Miiy=^ ra

MATSUSHITA ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1973 1978 1983 Digital Bipolar MOS Linear Discrete Optoelectro Total Comments: o Bro

Seite 127 - New Products:

MITSUBISHI ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1973 1978 1983 Digital Bipolar MOS Linear Discrete Total $ 84 $147 $231 Comments; o

Seite 128 - /XA$4-5B Sales)

NIPPON ELECTRIC ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1973 $ 25 37 31 102 3 1978 $ 39 189 105 222 10 1983 $ 78 605 275 365 38 Digital

Seite 129

OKI ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1973 .1978 1983 MOS 0 $20 $50 Conunents: o Currently a Major Supplier of Watch Modules in t

Seite 130 - KgnipTn^nl

SANYO ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1978 1983 Digital Bipolar MOS Linear Discrete Optoelectronics Total $99 $153 Comments: So

Seite 131

$ 7 15 19 6 $ 8 88 93 2 $ 20 242 235 3 TOSHIBA ESTIMATED SEMICONDUCTOR REVENUES (Millions of Dollars) 1973 1978 1983 Digital Bipolar MOS Linear Discre

Seite 132

12 MONTHS BOOKINGS GROWTH Europe 44% Japan 107% ROW 75% Source: DATAQUEST, Inc. July 1979

Seite 133

EUROPEAN COMPANIES' WOBLDWIDE MARKET SHARES - 1978 Discrete 21.6% Linear 12,5% Bipolar 11.8% MOS 2.2% MOS Mtemory Nil MOS Microprocessor Nil Bipo

Seite 134

-f-r:'' THE PROBLEMS WITH JAPAN, INC. BASICALLY CAPTIVE - resources allocated to needs of parent design limited - end product heeds are cons

Seite 135

(1-9) ASSUMPTIONS "Fixed" Costs per month $122,464 Variable costs per wafer $30.23 Wafer out capacity per month 20,000 Actual wafers out per

Seite 136 - Wafer Fabrication Products

MOS RAM MARKET 1975 50 Billion Bits 1976 148 Billion Bits 1977 316 Billion Bits 1978 774 Billion Bits 1982 8,370 Billion Bits Source: DATAQUEST, Inc,

Seite 137

ENGINES OF DEMAND 1979-1984 ECONOMIC ELASTICITY - Component Substitution (Memory) - System Substitution (Calculators) - Pervasiveness (Typewriters) IN

Seite 138 - INTERVIEW ^UIDE

iOOcti ^0(t-10-O.lct AVERAGE PRICE PER FUNCTION (IC'S) Years 64 JELLYBEANS

Seite 139

COST OF DESIOI 1964 $8K 1968 $40K 1974 $250K 19 79 $2-4M Memory 1979 $30M Microprocessor 1984 ? 1984 ?

Seite 140 - M^r-V^t^

MINIMUM CIRCUIT PRODUCTION Year 1965 1970 1975 1980 1985 Components Per Chip 1, 3, 60 2,300 64,000 ,000,000 ,200,000 Design Cost @ $33/ Transistor (Th

Seite 141

TOTAL NUl^BER OF SEMICONDUCTOR MANUFACTURERS - WORLDWIDE Merchant Captive 1955 1960 1965 1970 J3-7«^/97S* 19.85-inff 8 22 36 87 105 95 3 8 14 23 36 56

Seite 142 - Aligners

NOT VULNERABLE TO A TAKEOVER Companies Texas Instrtiraents Motorola Intel Reasons High market valuation Broad based ownership Profitable and sound Sou

Seite 143

VULNERABLE TO A TAKEOVER Company Mostek National Semiconductor Reasons Attractive, Casli Poor Attractive, Cash Poor AMD AMI Intersil Siliconix Major B

Seite 144

MARKET VALUE-MAJOR U.S. SEMICONDUCTOR FIRMS (DOLLARS IN MILLIONS) Market Value July, 1979 TI $1920 Motorola 1287 Intel r^stv^^^Q NSC 318 AMD 165 AMI 1

Seite 145

MAJOR OWNERSHIP BLOCKS U.S. SEMICONDUCTOR MANUFACTURERS TI Motorola National Fairchild Intel Signetics AMD Mostek AMI Semiconductor Revenuesr 1978 $92

Seite 146

(1-10) WAFER PRICING "Fixed Capacity Wafers: $122,464/10,000 + $30.23 = $42.50 Selling price at 60% gross margin = $106.25 Incremental Wafers: Se

Seite 147 - C ,„„o'

U.S. SEMICONDUCTOR COMPANIES ACQUIRED BY NON-SEMICONDUCTOR PARENTS Company Parent Spectronics Honeywell Synertek Honeywell CTC Varian SEMI EMM Radiati

Seite 148 - I Wafer Fabrication Products

PRODUCT COMMITMENTS U.S. SEMICONDUCTOR MJ\NUFACTURERS AMI - 2-Year Contract To Supply $10M To Northern Telecom Signetics - Supplies Estimated $5CM Per

Seite 149 - 1978, $6M 1979

IMPACT OF MERGER ON STOCK PRICE Fairchild 1979 Low $28.00 Final Price: $66.00 Ratio 2.3 to 1 Sources DATAQUEST, Inc. July 1979

Seite 150 - INTERVIEW GUIDE

IMPACT OF MERGER ON SUPPLIER CAPACITY Slower to react to market Key personnel drift to other type start-ups Corporate appropriations come slowly Resou

Seite 151 - \'

EXPisj^DING THE VALUE ADDED Source: DATAQUEST, Inc.

Seite 152

FINANCIALLY SUSTAINABLE GROWTH RATES^ Profit Befo Interest and 5 10 20 Tax .0% .0% .0% re 20% 60% 100% Equity Equity Equity 11.3% 7.0% 6.3% 28.3% 17.5

Seite 153 - Could expand 2-3 times now

CAPITAL COSTS Cash or Capital Expenditure for each additional dollar of revenue Fab Assembly Test Other Subtotal Working Capital \ SSI 6.8<: 3.6 4.

Seite 154

ESTIMATED EXTRA CAPITAL REQUIRED TO FINANCE GROWTH 1978-1985 (Dollars in Millions) Intel AMD Mostek NSC Motorola TI FCI 1978 $ 401 148 134 494 2,220 2

Seite 155

REASONS TO DIVERT CAPACITY TO ONE BUYER Sustainable Corporate Growth Exceeds Perceived Market Growth. "Extra" capacity may be diverted Long

Seite 156

DEMAND SUMMARY Long-term market growth rate has increased Major semiconductor users are rapidly increasing in numbers Source; DATAQUEST, Inc, July 197

Seite 157

(1-11) TYPICAL WAFER PRICES 12,000 CMOS wafers per month (3") $ 80 - $10 per layer. 100 up N-channel (4") $300 - $21 per (3") layer, 10

Seite 158

AMD Estimated Semiconductor Revenues (Dollars in Millions) Comments: 1973 1978 19 84 Total MOS Bipolar Linear $21 3 18 0 $132 71 37 24 $570 380 115 7

Seite 159

FAIRCHILD Estimated Semiconductor Revenues (Dollars in Millions) 1973 1978 19 84 Total MOS Bipolar Linear Discrete Opto Comments: $283 12 134 40 87 10

Seite 160

INTEL Estimated Semiconductor Revenues (Dollars in Millions) 1973 1978 1984 Total MOS Bipolar $58 41 17 $300 2 83 17 $1,360 1,290 70 Comments: MOS - E

Seite 161

MOSTEK Estimated Semiconductor Revenues (Dollars in Millions) 1973 1978 1984 MOS $39 $125 $620 Commentsi - Not broadbased - Strength in memory Source:

Seite 162

MOTOROLA Estimated Semiconductor Revenues (Dollars in Millions) 1973 1978 19 84 Total MOS Bipolar Linear Discrete Opto Comments: $445 17 75 47 303 3

Seite 163

NATIONAL SEMICONDUCTOR Estimated Semiconductor Revenues (Dollars in Millions) 19 73 19 78 19 84 Total MOS Bipolar Linear Discrete Opto Comments: $153

Seite 164

SIGNETICS Estimated Semiconductor Revenues (Dollars in Millions) 1973 1978 1984 Total MOS Bipolar Linear Comments: $98 7 73 18 $205 32 125 48 $540 75

Seite 165

TEXAS INSTRUMENTS •Estimated Market Share (Dollars in Millions) 1973 1978 19 84 Total MOS Bipolar Linear Discrete Opto $604 65 255 43 213 28 $923 238

Seite 166

ft: R. 5 C40a^in(OOC^tHI/>040(0<M.^Or^OtDI/>01lDOincOOOOi^a)lOI/) .^•c--aDi/icootoo.-iu90aaor^c-*C40^^C4Goooa)OOOtoooaoto »-iCMm<n»HO*»Hr-

Seite 167

DATAQUEST, iT^C FINANCIAL ANA COHPANIt INTET CORPORATION DATA SOanCF.i ANNUAL REPORTS PROJECTED FUNDS FLOW RBF ITEM AUWTC SIS 26 JUL! 1979 DF-. ARTHUR

Seite 168

(1-12) MERCHANT MARKET NET REVENUE PER WAFER To figure part price: Wafer Cost jf Assembly and Test Cost = Total Cost ± 60 - 100% Gross Markup = Sellin

Seite 169

REF ASSUMPA IT, m-1 CASH c LIQUID ssj^mu^mfs 3 RECEIVABLES H iNVEnTonr 5 OTHER CURRENT tSSSfS 6 PREPAID INCOME flit 9 GROSS P P E 12 MISC ASSETS 16 ff

Seite 170

a; <a C) tt* % t> 1^ ft: &i 0)inr^^o>otDCviu)oootAOco^r-ooo)ooO)(Doo(DoooaDoai(Da> C4aoLnLnc>40u)r.i<*>tonocMOj(n.4'Ooo)

Seite 171

FUNDS DATAQUBST. INC. COMPANY: ADVANCED MICRO DEVICES, INC. DATA SOURCE: ANNUAL REPORTS a XOK'S PROJECTED FUNDS FLOW REF ITEM 1980 FINANCIAL ANAL

Seite 172

RBF ASSW m OPTION 6 6 6 6 6 6 6 11 11 6 6 6 11 11 6 6 11 11 11 11 1 6 6 6 9 11 6 11 6 1 11 11 11 PCT SALES,HIST PCT SALES.HIST PCT SALES,HIST PCT SALE

Seite 173

0= 55 ta CI. ocNmosonoaad-ooo<oof>oo>CMtonaia>ooooor->ou> ntnroino^u)CNr)OtAotDmc<4OOcior-'«Hr>ooo^on(0O ir*i-«OmCTiDd&ap

Seite 174

FUNDS DATAQUESf, INC. COMPANY: MOSTBK CORPORATION DATA SOURCE; ANNUAL REPORTS A lOK'S PROJECTED FUNDS FLOW REP ITEM 1979 ! = =»S5,»»: FINANCIAL A

Seite 175

REF ASSUMP --#• OPTION VALUES 1 CASH € LIQUID SECURITIES 6 3 RECEIVABLES 6 H IHVENTOHy 6 5 OTWffJ? CURREST ASSETS 6 9 CffOSS P P £ 6 12 MISC ASSETS 6

Seite 176

a; ts a. % «j % EO o: IOm*U)OMd-t~CMOOOO»0*THlOOOT-IOOd-0>rHOOOOOrtOr~ c--omcMOnco^^.^c^oaot-to^cs.-teor^rHaD<ooooocMC^^ r>^CMcnonnaiea(n.Hon

Seite 177

JVHI^^ DATAQUEST, INC. FINANCIAL ANALYSIS 26 JULY 197 9 COMPANY: NATIONAL SEMICONDUCTOR CORPORATION DATA SOURCE-. ANNUAL REPORTS a 10-K'S PROJECT

Seite 178

OPR: ORANGE COUNT!! COMPUTER ACCESS PHONES ARE CHANGED ) LOAD 1 OC 003') 16.39.^1^.07/27/79 DATAQUEST 9^1^ ( S^R P C S^Wl P L . S V . )LOAD 125 B

Seite 179

(1-13) PROPRIETARY PRODUCT REVENUE PER WAFER (Net of Assembly Costs) 16K Dynamic RAM ($5.75 ASP) (4") $143 - $10 per (3") Layer 4K Fast Stat

Seite 180 - 1979 by DATAQUEST

DATAQUEST COMPASS: DATA SOURCE: 'Mmc. f^mROi ROLA ANSUAL REPORTS AND IQK'S FIHANCIAL A H^mL i S I S 19 JULX 1979 ^ITORi PEAT MARWICK MITCHE

Seite 181 - by DATAQUEST

DATAQUESTM FINANCIAL A N lY S I S 19 JULX 1979 COMPANY: MOTOROLA DATA SOURCE: ANNUAL REPORTS AND IQK'S PROJECTED FUNDS FLOW REF ITEM 1979 1980

Seite 182

ASSUMf REF i ^Wii OPTION 6 6 1 6 6 6 11 6 6 6 11 6 6 11 4 11 i« 6 6 1 9 11 6 6 1 11 11 11 PCT SALES,aiST PCT SALES,alST INPUT REQD PCT SALES,HIST PCT

Seite 183

COMPANY: DATA SOU m S INSTRUMENTS, INC. ANNUAL REPORTS PROJECTED SPREAD Fiai^B ITORi ARTHUR YOUNG a CO. INt MILLIONS BY) KKPOLEY REF ITEM 1976 1979 1

Seite 184

DATAQUEST, INC. COMPANY I T DATA SOURC INSTRUMENTS. INC. NNUAL REPORTS PROJECTED FUNDS FLOW REF ITEM 1979 FINANCIAL ANALYSIS 19 JULY 1979 1980 1981 F

Seite 185

Hff ' ITEM OPTION VALUES 1 3 i« S 9 12 16 17 18 19 20 21 2<t 2& 29 30 31 38 UO H2 1*3 HH H6 47 ts 51 58 59 69 CASH^^QUID SECURITIES RECEJ^

Seite 186

05 <5> ft. % ootDoDt*-t-ioc>i«ooooofOoc*»oo,-ioc4.*o«oocnmaoo04iococ>i CMC4a)u>CMOinT-iovnoo<oooCM<No<-ic>-(Ocooo>o>&

Seite 187

FUNDS DATAQUESTr7NC. ^WNC FINANCIAL A N^TL Y S I S 19 JULY 1979 COMPANY: FAIRCHILD CAMERA AND INSTRUMENT CORP. DATA SOURCE: ANNUAL REPORTS a IQK'

Seite 188

REF 1 3 H S 6 9 12 13 16 17 '18 19 20 21 24 25 26 29 30 31 38 40 42 43 44 46 47 49 51 55 58 59 69 ASSUMP ITMj^ CASH e mfuiD SECURITIES RECEIVABLE

Seite 190

WAFER FAB SUPPLIER OVERVIEW (1-14) Compad:f AMI ADV. LSI Burroughs Commodore Electronic EM&M Semi EPI, Inc. Hughes Maruman Micropower Mitel Monosi

Seite 191

5. CRUCIAL STRATEGIC QUESTION SUMMARY This section summarizes the previous four sections and recommends a new procurement strategy. Wafer fabrication

Seite 192

(5-1) 5. CRUCIAL STRATEGIC QUESTIONS Wafer Fabrication Summary Capital Equipment Summary Materials Summary Merchant Supplier Stimmary What To Do Sourc

Seite 193

(5-2) A MULTI-LEVEL APPROACH TO .COMPONENT ACQUISITION IS REQUIRED: Make-It-Yourself Your Tooling on Purchased Wafers Intimate Relationship with Major

Seite 194

(5-3) WAFER FABRICATION SUMMARY ISfot Cutting Edge Technology Best Price is not Best Security Multi-Sources Possible Mostly MOS High Trust Relationshi

Seite 195

(5-4) WAFER FABRICATION CONCLUSIONS It Has A Place: Low Technology Custom Keep Your Vendor Viable: Adequate Prices Capital Infusions Independent Fotin

Seite 196

(5-5) CAPITAL EQUIPMENT SUMMARY Emerging Large Sophisticated Suppliers Lead Times Increasing Supplier and Technology Selction Important Equipment Proc

Seite 197 - ^±^. HSj'i

(5-6) CAPITAL EQUIPMENT CONCLUSION Need Two Year Technology Horizon Vendor/Technology Selection Important For DEC Technology Insights May Be Gained Th

Seite 198 - s%_^ w^

(5-7) MATERIALS SUMMARY Polished Silicon Wafer Availability Good Possible Poly Shortage 19 81-19 83 Limi1:ed Shortages Of Lead Frames Source: DATAQUES

Seite 199 - ,S7 ^^

(5-8) MAJOR MERCHANT VENDOR SUMMARY Chronic Long Term Supply-Demand Imbalance Minimum Production Volume Per lDesign Increasing Universal Aversion To C

Seite 200 - ^'-H-'A

(5-9) MAJOR MERCHANT VENDOR CONCLUSIONS Select Vendor, Technology Carefully Put The Vendor On Your Team Worry About The Vendor's Profitability Br

Seite 201 - . to"

(1-15) C us tome r Fab. Capability Company Rating Samsung Semi Process Inc. + Standard Micro.Sys. + Supertex +++ Synertel? ++ Teledyne + United Semi +

Seite 202 - ^ ^•.-^

(5-10) WHAT TO DO Forecast Stratify Charter Captive Organize Acquisition Analyze Vendors Establish Vendor Partnership Source: DATAQUEST, Inc. July 197

Seite 203 - • ^'

(5-11) FORECAST Five Year Product Forecast Includes those yet to be developed By Model By Year Highest, Lowest, Expected Production Competitive Enviro

Seite 204 - . : _^?7 ^ 4

(5-12} STRATIFY I. Analyze Component Usage By Product A. Establish Component Hierarchy 1. Critical Technology-High Volume Standard Parts Customer Tool

Seite 205 - 1^13 i3ll — ilfa

(5-13) CHARTER CAPTIVE Make What You Can't Buy Critical Technology Custom El-Unique-0 Technology Maximum Two Technologies Per Facility Critical T

Seite 206

(5-14) ORGANIZE ACQUISITION Put The Vendor On Your Team Establish Engineer-Engineer Conimvinication With Class 1, 2, and 3 Vendors Charter For Service

Seite 207

^o-xo; •• ANALYZE VENDORS Technological Strengths Competitors Ownership Investments In New Technology Planned Expansion Other Long-Term Commitments Wo

Seite 208

(5-16) # ESTABLISH VENDOR PARTNERSHIP Top Level Communication Discussion Of Mutual Goals - "Open Kimono" Long Term Contracts Convince Vendor

Seite 209

(5-17) # HAPPENINGS Intel's Top 20 - No Shortage Of 16K Dynamics Apple Computer Feeding At The Same Trough As IBM Datapoint - Major Supplier: An

Seite 210

(5-18) BARGAINING CHIPS CAD Software General Software - Applications Of Microprocessors Overflow Wafer Processing Computers For Parts Rights To Propri

Seite 211

(5-19) THE OPEN KIMONO USER TELLS: 1) 5-Year Product Plans Plus/Minus Expected 2) Structure Of The Market 3) Reasons For Wanting Teclinology 4) Usage

Seite 212

(1-16) AVAILABLE FOR ACQUISITION AMI - (Bosch, Borg Warner Interest) Public ADV. LSI - Siemens Captive Maruman - Several interested parties closely he

Seite 213

(5-20) BUY A COMPANY The Ultimate Open Kinvono Desired Acquisitions Will Come From Preceeding Analyses A Part Of The Solution—Not The Solution Source:

Seite 214

(5-21) HOW TO GET THE VENDOR'S ATTENTION M ake A Plan Organize Normalize Usage Extrapolate Yall Source: DATAQtfEST, Inc. July 19:79

Seite 216

WAFER FAB SUPPLIERS Company; AMI Prime Mission; Custom MOS-LSI and standard products. Customer Base; 20% Standard product, 60% custom LSI, 20% custome

Seite 217

WAFER FAB SUPPLIERS (Continued) Processes; 2 8 process variants available. In addition to standard N & P Channel MOS & CMOS, plan HMOS, VMOS a

Seite 218

WAFER FAB SUPPLIERS Company; Advanced LSI Technology (Subsidiary of Litronix and Siemens). Prime Mission: Wafer fabrication to customer mfasks. Custom

Seite 219 - -f-r:''

WAFER FAB SUPPLIERS (Continued) Processes: N-channel silicon gate, P-channel nfietal gate, P-channel silicon gate, CMOS metal gate. Process Compatibil

Seite 220

WAFER FAB SUPPLIERS Company; Burroughs Corporation Prime Mission; Captive Customer Base; Burroughs Corporate Estimated Wafer Starts; 4,000 Bipolar, 4,

Seite 221

WAFER FAB SUPPLIERS Company; Commodore Prime Mission; To support Commodore Corporation. Some worIc has been done for outside customers; 2,000 to 4,000

Seite 222 - JELLYBEANS

WAFER FAB SUPPLIERS (Continued) Process Compatibility With Other Firms: CMOS process known to be reasonably compatible, 1-7

Seite 223

WAFER FAB SUPPLIERS Company; Electronic Arrays. Prime Mission; Standard Products. t: Customer Base; 100% Standard Products. Estimated Wafer Starts; Es

Seite 224

WAFER FAB SUPPLIERS Company; EM&M Semi - Phoenix, Arizona. Prime Mission; Standard product. Some customer tooled product. Do not like to run wafer

Seite 225 - Merchant Captive

WAFER FAB SUPPLIERS Company; Epitaxy Inc. Prime Mission; Supplier of epitaxial wafers; custom wafer processing to supplement major merchant firms, i C

Seite 226 - Companies

INTRODUCTION The purpose of this study is to highlight the crucial Strategic questions which DEC must consider in developing a procurement strategy fo

Seite 227

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms: As required by customer. Employees; Over 800. Equipment; Only two aligners ava

Seite 228 - r^stv^^^Q

WAFER FAB SUPPLIERS Company; Hughes - Newport Beach. Prime Mission; jyierchant supplier, captive producer. Interested in custom wafer processing only

Seite 229 - 'n

WAFER FAB SUPPLIERS Company; Marumann. Prime Mission: Standard parts. Some custom design and customer tooling. Customer Base; 60% parts, 10% custom de

Seite 230

WAFER FAB SUPPLIERS (Continued) Employees; 210 currently. Equipment: 6 cobilt aligners. 1-14

Seite 231 - PRODUCT COMMITMENTS

WAFER FAB SUPPLIERS Company; Micropower. Prime Mission; Standard product, custom design, some customer tooling but very selective on this. Customer Ba

Seite 232

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms: Low. Employees; Not available. Equipment: Not available. 1-16

Seite 233

WAFER FAB SUPPLIERS Company; Mitel Semiconductor. Prime Mission: Merchant, captive, customer tooling. Customer Base; 30% parts, 40% custom design, 30%

Seite 234 - DATAQUEST, Inc

WAFER FAB SUPPLIERS Process Compatibility witti Other Firms; Low. Employees; Over 100. 1-18

Seite 235

WAFER FAB SUPPLIERS Company: Monosil. Prime Mission; Wafer fabrication, specializing in custom processing. Customer Base; 90% customer maslcs, 10% pro

Seite 236

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms; As required. Employees; 100 approximately. Equipment; 8 diffusion tubes; Lp CV

Seite 237

AS the semiconductor industry becomes more oligopolistic and less competitive, it is necessary to revise procurement Strategies. Total corporate requi

Seite 238

WAFER FAB SUPPLIERS Company; National Semiconductor Prime Mission; Standard product. However, a separate marketing group exists for custom LSI. This g

Seite 239

WAFER FAB SUPPLIERS (Continued) Process Compatibility With Other Firms; Should be good with AMI, Synertek, Mariiman 1-22

Seite 240

WAFER FAB SUPPLIERS Company; Nitron Prime Mission; Alternate interest is in standard products. Wafer fabrication business is undertaken for cash flow

Seite 241

WAFER FAB SUPPLIERS (Continued) Processes; N-channel isoplanar metal gate. P-channel metal gate. CMOS metal gate. MNOS. Process Compatibility With Oth

Seite 242

WAFER FAB SUPPLIERS Company; Polycore Prime Mission; Wafer fabrication. Cus tome r B as e; 100% custoiyer tooled wafers, Over half of these are linear

Seite 243

WAFER FAB SUPPLIERS (Continued) Process Compatibility With Other Firms; Linear IC process should be compatible with Exar, Monosil. Employees; Approxim

Seite 244

WAFER FAB SUPPLIERS Company; Samsung. Prime Mission; Support to Samsung—A $1.3 billion Korean conglomerate, Most of the parts made are used in Samsung

Seite 245

WAFER FAB SUPPLIERS (Continued) Process Compatibility witli Other Firms; Believe CMOS process reasonably compatible, Employees; Estimate 1,000. 1-28

Seite 246

WAFER FAB SUPPLIERS Company; Semi Processes Inc. Prime Mission; Wafer fabrication. Customer Base; 100% customer tooled. Estimate 25-50% from semi indu

Seite 247

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms; Should be reasonably good. Employees: 20 people. Equipment: Currently 9 tubes,

Seite 248 - V"

PROPOSAL SEMICONDUCTOR SUPPLY STUDY for DIGITAL EQUIPMENT CORPORATION Objective; Digital Equipment Corporation (DEC) expects significant increases in

Seite 249

WAFER FAB SUPPLIERS Company: Standard Micro Systems. Prime Mission; Supplier of merchant parts. Want to keep customer tooling business below 10% of wa

Seite 250 - PA:[MENTS

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms: Believe to be reasonably compatible. Employees; 275 employees. Equipment: 30 t

Seite 251

WAFER FAB SUPPLIERS Company; Supertax. Prime Mission; WorJcing toward increased volume in proprietary products. Still predominantly customer tooled wa

Seite 252

WAFER FAB SUPPLIERS (Continued) Process Compatibility witli Other Firms; Should be good. Cross license with AMI, Employees; Estimate over 100. Equipme

Seite 253 - EXPENSE

WAFER FAB SUPPLIERS Company; Synertek. Prime Mission; Standard products. View customer tooled business as a growth opportunity. Customer Base: 65% Sta

Seite 254 - "^

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms; Good compatibility with AMI, Marunan. 1-36

Seite 255 - '10'

WAFER FAB SUPPLIERS Company: Teledyne Semiconductor. Prime Mission; Standard product, customer tooling. Company would be good to do business with. Cus

Seite 256

VJAFER FAB SUPPLIERS (Continued) Process Compatibility with Other Firms; Low, except for Motorola CMOS. Employees; Estimate 100-200. Equipment; 3-4 al

Seite 257 - '-^

WAFER FAB SUPPLIERS Company: United Semiconductor. Prime Mission; Wafer fabrication. Customer Base: 100% customer tooled. Estimated Wafer Starts; 4,00

Seite 258

WAFER FAB SUPPLIERS (Continued) Process Compatibility with Otlier Firms; Should be good. Employees; 67 (wafers are manufactured by Analog Devices). Eq

Seite 259 - O O O :

ATTACHMENT I Work Statement Wafer Fabrication Suppliers. Conduct interviews with 10-15 wafer fabrication suppliers offering technologies of interest t

Seite 260 - 'Mmc

CAPITAL EQUIPMENT SUPPLIERS

Seite 261 - SOURCES

2. CAPITAL EQUIPMENT SUPPLIER SUMMARY Capital equipment suppliers provide the equipment that is used to manufacture semiconductor components. This sec

Seite 262

(2-1) CAPITAL EQUIPMENT SUPPLIERS Aggregate Supply/Demand Impact of Captive Supplier Purchases Industry Problems Leading Companies Technology Trends S

Seite 263 - ANNUAL REPORTS

(2-2) 1974 1975 1976 1977 1978 1979 1980 1981 1982 1983 ESTIMATED CAPITAL EXPENDITURES BY MERCHANT SEMICONDUCTOR COMPANIES ($ in Millions) Semiconduct

Seite 264

(2-3) CAPTIVE SEMICONDUCTOR MANURACTURERS VS. TIME 1955 1960 1965 1970 1975 1979 14 22 31 51 Source: DATAQUEST, Inc. July 1979

Seite 265

CAPITAL EXPENDITURES OF SELECTED COMPUTER EQUIPMENT MANUFACTURERS (Dollars in Millions) (2-4) Capital Company/Fiscal Year Burroughs (1976) Control Dat

Seite 266

(2-5) ESTIMATED IMPACT OF LSI ON MANUFACTURING COSTS OF ELECTRONIC EQUIPMENT Relative Equiianent Manufacturing Costs COMPLEXITY OF LSI DEVICE 40 Tran-

Seite 267

(2-6) CONCLUSIONS • Electronic euipment makers need custom wafer processing. • The semiconductor industry is not supplying the need. • AND the electro

Seite 268 - Ta^^/U^

(2-7) CAPTIVE SEMICONDUCTOR MANUFACTURERS WILL USE MORE CAPITAL EQUIPMENT PER WAFER START Capital Investunent (Property, Plant & Equipment) Wafer

Seite 269

(2-8) ESTIMATED CAPTIVE MANUFACTURING CAPACITY (From 1978 Silicon Usage) Silicon Usage (Million Sq. Inches) Percent Silicon Usage Equivalent Productio

Seite 270

Attadament I Work Statement, continued. Crucial Strategic Questions. DATAQUEST team members will engage in several discussion sessions to develop alte

Seite 271

ESTIMATED SEMICONDUCTOR CAPITAL EXPENDITURES BY MERCHANT AND CAPTIVE SUPPLIERS ($ in Millions) (2-9) Mercliant Suppliers Captive Suppliers Percent of

Seite 272 - ACQUISITION IS

(2-10) ESTIMATED SEMICONDUCTOR EQUIPMENT Total Capital Expenditures Less Facilities Costs Net Equipment Demand Planned Industry Supply Annual Sales of

Seite 273

(2-11) INDUSTRY PROBLEMS (As Stated in Survey) Technology Selection 26% Wafer Handling Technology 6% Personnel Recruiting 74% (Mostly engineers) Finan

Seite 274

(2-12) Purchase Price Less than $50,000 $50,000-$100,000 $100,000-$300,000 $300,000 Up EQUIPMENT LEAD TIMES Current Lead Time 2-4 Months 3-8 Months 7-

Seite 275

(2-13) LEADING COMPANIES ($ in Millions) Equipment companies are moving towards providing a total capability in wafer fabrication. First Rani? Cutler-

Seite 276

'l-t-I ^AT;ONII ^ rta. w r;g ,ft. C.^J^-v W V.,V.^:i--' 1J_ -..^ .\tl3-v«l2o ^fft'^Pgyg * U^jv, CciwTAter/ P^airtiAitT^. \S U< *:!^£

Seite 277

CATO*^ ^f^^^s. ' C*-^v<-»-*«'"^f^^) WA,^PF\^ -CciWTAt-T / PPCKI lA< If >/, VAJ /srgA* TV'*'^Cve £ Oiuj -<^u/=«,&l

Seite 278

(2-14) CUTLER-HT^MMER - SEMICONDUCTOR EQUIPMENT DIVISION ($ in Millions) Rasper 1979 Sales (Estimated) $19.2 D&W Controlled Wafer Environment -2 D

Seite 279

(2-15) PERKIN-ELMER ($ in Millions) 1979 Sales (Estimated) Micro Align $ 90,0 "i •h Scanning Projection Aligners Upgrade 1979 Deep U.V. Mid-1981

Seite 280

(2-16) VARIAN ASSOCIATES ($ in Millions) 1979 Sales (Estimated) Varian, Palo Alto $ 75M E-beam Maskmalting ^ 7 L'f Direct write (1982)^ o^^ Sputt

Seite 281

MODIFICATION OF WORK STATEMENT DIGITAL EQUIPMENT CORPORATION Comments on Work Statement General: DEC would like some consideration of inter-national e

Seite 282

(2-17) APPLIED MATERIALS ($ in Millions) Sales (Estimated) High Pressure Oxidation (Gasonics) Plasma Etch, Using Plasma Deposition Equipment Epitaxial

Seite 283

(2-18) COMPUTERVISION/COBILT ($ in Millions) 1979 Sales (Estimated) Projection Aligners Only Second Source to Perlcin/Elmer Shipping 11 per Quarter vs

Seite 284

(2-19) TEMPRESS, GENERAL SIGNAL ($ in Millions) 1979 Sales (Estimated) k Oxidation/Diffusion Poor Second to Termco Cliemical Vapor Deposition Third or

Seite 285 - Ownership

CsntA/^Ji^'^j^ S^vQKi!oli .^^'-. M. Xavi^yifl/vga •lA/vctft<,t^ C-cr-poVeJtt ri_3'^_UD^-f^ :l)C*\VA-Vvt-g _ i; V •V - V-^ ;y^fttkv^

Seite 286

(2-20) THERMCO-SUNBEAM ($ in Millions) 1979 Sales (Estimated) Oxidation/Diffusion Leaders in Direct Digital Control High Pressure Oxidation (August) C

Seite 287

(2-21) GCA ($ in Millions) 1979 Sales (Estimated) Sunnyvale Division $18 Wafer Tracks Using Air Movement Tie on to DSW (1980) "Wafer Local"

Seite 288

"^-^ . a.U . ...•i-^ I'. •fi/"'''-^' ,- " . (2-22) TECHNICAL TRENDS Dry Etch Barrel Etch - Nitride, Resist St

Seite 289

(2-23) TECHNICAL TRENDS (Continued) Alignment Equipment Above 2 microns Scanning projection systems well established. Strong move to reconsider proxim

Seite 290

(2-24) TECHNICAL TRENDS (Continued) Ion Implant New high current product for direct junction implant New anneal techniques - E=beam, Laser Will eventu

Seite 291 - Extrapolate

(2-25) IMPORTANT EQUIPMENT GROWTH MARKETS High Current Ion Implant and Anneal Below 2 Micron Aligners Estimate 400 units per year in early 1980s Total

Kommentare zu diesen Handbüchern

Keine Kommentare